你好!欢迎来到深圳市品慧电子有限公司!
语言
当前位置:首页 >> 技术中心 >> 生产测试 >> 基于CPLD的线阵CCD驱动电路设计

基于CPLD的线阵CCD驱动电路设计


中心议题:

  • 基于CPLD的线阵CCD驱动电路设

解决方案:

  • 采用基于CPLD的驱动电路实现线阵CCD的驱动
  • 以CPLD为驱动中心而设计


论述了线阵CCD驱动电路的工作原理和现状,选择基于CPLD驱动线阵CCD工作的方案。采用MAXⅡ器件的EPM240T100C5N为控制核 心,以TCD1500C为例,设计了基于CPLD的线阵CCD驱动电路,完成了硬件电路的原理图的设计,并实现了软件调试。通过QuartusⅡ软件平 台,对其进行了模拟仿真。实验结果表明,设计基于CPLD的线阵CCD驱动电路能够满足CCD工作所需的驱动脉冲。

如何实现高精度的运动装置角度和位移测量,一直是系统或设备设计中需要解决的关键技术之一。随着半导体微电子技术的迅猛发展,各种新型器件不断涌现,其中线阵CCD(Charge Coupled Devices)电荷耦合器件因其所具有的高精度、无接触、高可靠性等优点,应用越来越广泛。

1 总体方案设计

线阵CCD一般不能直接在测量装置中使用,因此CCD驱动信号的产生及输出信号的处理是设计高精度、高可靠性和高性价比线阵CCD驱动模块的关键。

传 统驱动CCD的设计方法使CCD的工作频率较慢,信号输出噪声增大,不利于提高信噪比,不能应用于要求快速测量的场合。而用可编程逻辑器件CPLD进行驱 动,则可提高脉冲信号相位关系的精度,以及提供给CCD驱动脉冲信号的频率,而且调试容易、灵活性高。目前,在工业技术中,多采用基于CPLD的驱动电路 实现线阵CCD的驱动。系统框图如图1所示。

2 硬件设计

2.1 CPLD的硬件电路的设计


以CPLD(Complex Programmable Logic Device)器件为核心,设计线阵CCD的驱动电路。然后在其基础上扩展,选择其他元器件,设计出与其相配套的电路部分,经调试后组成硬件系统。

CPLD 的电路由5部分组成,有源晶振向EPM240T100CSN的U1A的IO/GCLK0口输入时钟脉冲CLK0,提供了CPLD工作的时钟脉冲,因为时序 逻辑的需要。U1C从JTAG端口中下载程序,U1B的52、54、56、58口输出脉冲信号。U1D管脚接3. V电压,U1E管脚接地。电路原理如图2所示。

123下一页> 关键字:CPLD CCD 硬件电路 线阵CCD  本文链接:http://www.cntronics.com/public/art/artinfo/id/80015903

2.2 DC/DC模块的设计


为得到CPLD所需的电压,外接电源需要经过DC/DC模块进行转换。为进一步减少输出纹波,可在输入输出端连接一个LC滤波网络,电路原理如图3所示。

2.3 稳压模块的电路设计


由DC/DC模块转换的直流电压,经过一个R11电阻和一个发光二极管接地,发光二极管指示灯,然后从AMS芯片的Vin端输入,进入到芯片的内部,经过一系列的计算,从Vout输出3.3 V电压,GND端端口接地。为消除交流电的纹波,电路采用电容滤波,分别用0.1μF的极性电容和10μF的非极性电容组成一个电容滤波网络。电路原理如图4所示。

2.4 CCD电路设计


CCD电路采用TCD1500C,它是一个高灵敏度、低暗流、5340像元的线阵图像传感器。其像敏单元大小是7 μm×7μm×7 μm,相邻像元中心距7μm,像元总长37.38mm。该传感器可用于传真、图像扫描和OCR。TCD1500C的测量精度和分辨率都很高,并且只需4路驱动信号:SH、φ、RS、SP。电路原理如图5所示。



<上一页123下一页> 关键字:CPLD CCD 硬件电路 线阵CCD  本文链接:http://www.cntronics.com/public/art/artinfo/id/80015903?page=2
2.5 电平转换的电路设计


由于CPLD输出的驱动脉冲电压为3.3 V,而CCD工作所需的驱动脉冲为5 V,所以需要在CPLD和CCD之间加入—个电平转换电路。电路原理如图6所示。

3 软件设计


系统软件采用 Verilog HDL硬件描述语言,按照模块化的思路设计,将要完成的任务分成为多个模块,每个模块由一个或多个子函数完成。这样能使设计思路清晰、移植性强,在调试软 件时容易发现和改正错误,降低了软件调试的难度。程序中尽量减少子函数之间的相互嵌套调用,这样可以减少任务之间的等待时间,提高系统处理任务的能力。主 程序如图7所示。

SH是一个光积分信号,SH信号的相邻两个脉冲之间的时间间隔代表了积分时间的长短。光积分时间为5 416个RS周期,对系统时钟进行光积分的分频,实现了SH信号脉冲。在光积分阶段,SH为低电平,它使存储栅和模拟移位寄存器隔离,不会发生电荷转移。时钟脉冲φ为典型值0.5 MHz时,占空比为50%,占空比是指高电平在一个周期内所占的时间比率。它是SH信号和占空比为50%的一个0.5MHz的脉冲信号叠加,所以0.5 MHz的信号和SH信号通过一个或门,就可以实现φ信号;输出复位脉冲RS为1 MHz,占空比1:3。此外,RS信号和SH、φ信号有一定的相位关系,通过一个移位寄存器移相,来实现RS脉冲信号。

4 仿真实验


系统时钟周期部分设置为1 ns,正常工作时复位信号RS为高电平,然后对RS、φ、SH信号进行仿真,结果如图8所示。


5 结束语


研究的线阵CCD驱动电路主要是以CPLD为驱动中心而设计,这种方案减少了以往驱动电路的电路体积大、设计复杂、调试困难等缺点,增加了系统的稳定性、可 靠性,集成度高且抗干扰能力强。通过对硬件和软件大量的模拟实验表明,文中所研究的线阵CCD驱动脉冲信号能够满足CCD工作所需的基本功能,达到了设计 要求。


<上一页123 关键字:CPLD CCD 硬件电路 线阵CCD  本文链接:http://www.cntronics.com/public/art/artinfo/id/80015903?page=3

相关文章

    用户评论

    发评论送积分,参与就有奖励!

    发表评论

    评论内容:发表评论不能请不要超过250字;发表评论请自觉遵守互联网相关政策法规。

    深圳市品慧电子有限公司